Skip to content

The Next Leap: Powering Plasma for the Angstrom Era

Posted February 27, 2023 by Juergen Braun

Semiconductor manufacturing pushes the boundaries of process innovation to the nearly impossible. Reaching a new device node is the culmination of vision, technology and partnership. These leaps forward are enabled by both possibility and capability. Often, the difference between the impossible and the next node comes down to process equipment innovations that open wholly new windows of performance and functionality.

Complexity is spiraling upwards. Higher feature densities are leading to 3D structures and novel transistor architectures with dimensions on the atomic scale, measured in angstroms (10-10 meters). Altogether, this demands unprecedented process control. Advanced-node devices drive ambitions for innovative process equipment — including extraordinary power-delivery technologies — to expand the limits of the possible.

RF Generator Angstrom

Radio frequency (RF) plasma power delivery systems have evolved to become highly sophisticated power control engines with ultrafast responsiveness — as different from earlier RF generators as a thoroughbred horse is from a donkey. High-speed, precise power delivery enables radical changes and industry inflections from chip-scale packaging through advanced front-end technologies including gate-all-around (GAA) transistors, backside power delivery (BPD) and the path to 3D DRAM.

As the semiconductor industry ventures into the Angstrom Era, plasma processes used to create these extraordinary devices require exceptional agility, complex pulsing sequences with near-instant tuning speeds, recipe-customizable control capabilities and, increasingly, direct control of the plasma ion energy that creates the devices.

Advanced Energy has been making impossible plasma processes possible for over 40 years. AE is once again leaping forward in plasma control by introducing transformational power delivery technologies to address the challenges of the Angstrom Era.

A History of Transformational Power-Delivery Solutions

The semiconductor industry has relied on AE’s plasma power innovations to jump from micron to submicron to nanometers and now to angstrom-dimension devices

These innovations have been instrumental in supporting leading-edge plasma processes starting from the chip-scale era when all-digital Navigator® technology provided three to five times the match speed and unsurpassed RF metrology compared to competing products. The sophistication of AE power delivery and match technology grew across the next chip design nodes, providing increasing precision, power density, performance features such as pulsing, as well as faster response to abruptly changing plasma conditions. Advanced Energy’s eVoS™ platform is an example of the kind of revolutionary power technology capabilities that change what’s possible in plasma processing with its direct ion energy control. And there’s more to come.

Leaping into the Angstrom Era

Process recipes are more complex than ever, with multiple steps as short as one second transitioning through very different plasma conditions — often without turning off power to the plasma. Nimble, reproducible, repeatable RF plasma power delivery is vital to manage the extreme swings in plasma impedance caused by frequent, abrupt and profound changes in gas flow, chemistry, pressure and power. In the most advanced deposition and etch processes, critical aspects include precise control of target-species energy and repeatability from wafer to wafer in highly non-linear plasma environments.

AE addresses these challenges with a leap ahead in plasma power control, providing the agility, stability and speed required to drive Angstrom-Era semiconductor applications. This new, forward-looking technology provides critical performance:

  • Speed of control within pulse profiles
  • Power overhead for reliable ignition
  • Waveform customization and control
  • Ion energy management via direct plasma sheath voltage control
  • Interconnected, coordinated operation of all power delivery components on complex plasma wafer processing equipment
  • IoT ecosystem: High-resolution, high-bandwidth data access and sophisticated analytics

Transcending the Impossible: Powering the Future

From its inception, the semiconductor industry has constantly pushed the physical limits of device dimensions, densities and speed. Continuing the march from nanometer to angstrom device dimensions will be a truly remarkable milestone. Evolutionary and revolutionary advances in process power will provide the drumbeat bringing this vision to fruition. Those at the forefront of plasma power delivery technology will be the primary enablers as the industry draws closer to once-impossible dimensions.

Preview AE’s new, transformational power delivery technology, which will be available this summer.

Share

Juergen Braun

Advanced Energy
Juergen Braun joined Advanced Energy in July 2007 and now serves as senior vice president of plasma power products. In his current role, Braun leads the plasma power and service business. Prior to his current role, he held several leadership positions within the company including vice president and general manager of the thin film group, general manager of the photonics group, and managing director of Advanced Energy’s EMEA sales office. Braun brings over 20 years of experience in semiconductor manufacturing with a successful record of implementing strategic business plans, leading cross-functional international teams, as well as delivering revenue and market share growth. Braun holds a Diploma in chemistry from the University of Ulm, Baden-Württemberg, Germany, where he also completed his Ph.D. thesis on CVD growth of silicon and germanium on Si.
More posts by Juergen Braun

Related Posts

Blog

Powering What Matters: AE Addresses Four Key Medical Trends at MD&M West 2024

March 22, 2024

By Todd Huston

The Medical Device & Manufacturing West (MD&M West) tradeshow was held February 6-8 in Anaheim, CA with over 1,600 exhibitors and more than 14,000 attendees from the medical industry. Top medical device and equipment makers, power supply providers, thought leaders and engineers attend. Once again, Advanced Energy (AE) played a significant role as a featured exhibitor.
Advanced Energy AE News Medical News

Blog

Engineered to Power Innovation: New Website for Power, Sensing and Control Integrates Full Artesyn Portfolio

August 27, 2023

By Peter Gillespie

Advanced Energy is putting design solutions and products at engineers’ fingertips with the unveiling of its new website on August 29, 2023. The new, fully re-designed site now integrates comprehensive information on the Artesyn family of products and ensures rapid access to the key information needed to accelerate the development of systems built around advanced power, sensing and control solutions.
Advanced Energy AE News

Blog

SEMICON West 2023: AE Advances the Angstrom Era with Transformational New Technologies

August 23, 2023

By Skip Larson

Last month, the semiconductor industry converged on the “City by the Bay” to discuss a wide range of solutions for design, manufacture and test. Under the banner of “Building a Path Forward,” more than 570 exhibitors showcased solutions at San Francisco’s Moscone Center. This year’s discussions focused on the opportunity and challenges the industry will face as semiconductor sales approach the $1 trillion level by 2030, up from $600 million today. Key issues that will either support or hinder the industry include closing technology gaps and critical talent shortages, along with limiting supply chain disruptions and the impact on climate change. What was clear is that delivering high-performance chips with increasingly complex 3D structures at atomic-scale dimensions is demanding more sophisticated wafer process solutions based on real world-processing data to accelerate modeling for faster design turns than ever before.
Advanced Energy AE News Industry News
Browse
Categories A-Z
Join Our Mailing List
Subscribe
Recent Posts
View on X